module mux3 #(parameter WIDTH = 8) (input logic [WIDTH-1:0] do, dl, d2, input logic [1:0] output logic [WIDTH-1:0] ; assign y = s[1]? d2: (s[0]? d1: d0) ; endmodule